Главная         Авторы   Статьи   Год проведения   Тематика   Организации        Конференция МЭС

Тематика

Листинг работ с разбиеним по тематике докладов. Нажмите на название работы для того, чтобы увидеть ее подробное описание. Выборку можно ограничить, указав диапазон годов проведения конференции, или выбрав одну конкретную тему.

Выбрать: с по год
 
Все темы

Веб-технологии в САПР СБИС
Генетические алгоритмы в САПР СБИС
Исследование магнитных свойств материалов
Клеточные автоматы
Методы высокоуровневого моделирования
Методы и алгоритмы автоматизации топологического проектиров...
Методы логического синтеза и функционально-логического моде...
Методы моделирования электрических характеристик СБИС
Методы приборно-технологического моделирования СБИС
Методы цифровой обработки информации и цифровые фильтры
Методы электро-теплового моделирования
Модели приборов для схемотехнического моделирования
Моделирование каналов передачи данных
Нейронные сети
Нетрадиционные вычислительные системы
Проблемы разработки АЦП
Проблемы разработки сенсорной микросхемотехники
Проектирование СБИС сигнальных процессоров
Проектирование аналоговых и смешанных функциональных блоков...
Проектирование микро-электромеханических систем
Проектирование помехоустойчивых систем
Проектирование приборов наноэлектроники
Проектирование приборов наноэлектроники на базе джозефсонов...
Проектирование радиационно-стойких СБИС
Проектирование систем на кристалле (СнК) и IP-блоков
Проектирование технологических процессов
Проектирование фотоприёмных СБИС
Проектирование цифровых функциональных блоков СБИС
Проектирование элементной базы для космической и навигацион...
Проектирование элементов СБИС
Проектирование элементов памяти
Выборка по тематике: Методы логического синтеза и функционально-логического моделирования в САПР СБИС
Выбраны работы: с 2005 по 2024 год
В выборке - 104 работы
А В Г Д З И К Л М О П Р С Т У Ф Х Э
А 
 
Автоматизация проектирования топологии функциональных блоков заказных цифровых СБИС
Автоматизированное формирование тестов при характеризации цифровых ячеек с использованием веб-доступа
Алгебраические модели декомпозиции задачи отладки проектов цифровых систем с помощью моделирования
Алгебраические разложения кофакторов в BDD представлениях систем не полностью определенных булевых функций
Алгоритмическое проектирование цифровых операционных устройств с пониженным энергопотреблением
Алгоритм логического синтеза сбоеустойчивых схем в технологическом базисе
Алгоритм построения быстрых хеш-функций,основанных на замещении символов
Алгоритм синтеза цифровых микросхем на основе разложения Э.Н. Гильберта
Алгоритмы синтеза схем-заплаток для решения задачи ресурсо-ориентированной функциональной коррекции схем из функциональных элементов
Анализ задержек в микроархитектурных моделях коммуникационных фабрик
Анализ итерационных методов решения систем логических уравнений и их использование при моделировании цифровых систем
Анализ помехоустойчивости цифровых схем с учётом логических ограничений
Анализ помех, влияющих на задержку, с помощью графа парных ограничений
Аналитический алгоритм глобального размещения структурированных схем с учетом временных задержек
В 
 
Верификация логических описаний комбинационных устройств
Верификация поведения цифровых устройств с помощью моделей высокого уровня
Верификация цифровых устройств с параллелизмом поведения
Временной анализ цифровых схем с учетом сложных логических корреляций
Выбор набора тестов функций цифровых систем для контроля правильности проектов
Г 
 
Генерация библиотек логических функций
Генерация больших наборов логических функций для систем автоматизации проектирования цифровых интегральных схем
Д 
 
Декомпиляция КМОП схемы из транзисторов в формате SPICE
Декомпозиция булевых функций, заданных диаграммой двоичного выбора
Декомпозиция и минимизация диаграмм двоичного выбора для систем неполностью определенных булевых функций
Декомпозиция на основе универсальных систем функций и её применение при логическом и топологическом синтезе СБИС
З 
 
Запуск ОС Linux как этап функционального тестирования микропроцессоров
И 
 
Интеграция логического синтеза с привязкой к библиотеке в системе Integro
Интеграция логического синтеза с привязкой к библиотеке на основе универсальных систем функций и прямых методов обобщенного разложения
Интервальный статический временной анализ КМОП-схем с учетом логических корреляций
Использование параллельных вычислений при автоматизированном проектировании СБИС
Использование результатов характеризации реальных библиотек логических вентилей в статистическом временном анализе
Использование самосинхронной логики для снижения потребляемой мощности и повышения надёжности микропроцессоров
Использование SAT решателей и ROBDD-графов для построения схем, маскирующих логические неисправности и вредоносные подсхемы
Исследование возможностей практического применения адиабатической логики для снижения потребляемой мощности СБИС
К 
 
Комплексная платформа функциональной верификации компании Mentor Graphics
Л 
 
Линейный синтез - новый подход к логическому проектированию k-значных цифровых структур
Линейный синтез k-значной цифровой элементной базы с токовыми логическими сигналами: принцип обобщения
Логико-временной анализ надежности цифровых СБИС с учетом эффектов деградации NBTI и HCI
Логическое дополнение до модульных кодов с суммированием для синтеза схем встроенного контроля комбинационных устройств автоматики и вычислительной техники
М 
 
Масштабируемая макромодель диода с повышенной точностью моделирования
Математическая модель функционирования специализированного микропроцессорного устройства как основа для составления его функциональной спецификации
Метод анализа быстродействия вентилей с учетом одновременного переключения входов
Метод бинарно - векторного полиномиального разложения булевых функций
Методика ускоренной характеризации многоразрядных триггеров с контролем точности
Метод логического ресинтеза схем в маршруте проектирования на ПЛИС
Метод оптимального свертывания схемы - эффективный подход для качественного решения неполиномиальных комбинаторных задач большой и сверхбольшой размерности в автоматизированном конструировании МЭА
Метод оценки пикового тока на логическом уровне с учетом одновременного переключения входов
Метод снижения статической мощности КМОП-схем на основе отключающих транзисторов с контролем быстродействия
Методы верификации на кристалле задержек распространения стандартных цифровых элементов
Методы высокоуровневого и логического моделирования в САПР СБИС
Методы логико-временного анализа библиотечных элементов и блоков СБИС для перспективных технологий с вертикальным затвором транзистора
Методы разбиения логических схем для оптимизации решения задач проверки эквивалентности и функциональной коррекции схем
Методы статистического временного анализа цифровых схем
Методы формирования и верификации библиотек стандартных элементов в составе маршрута проектирования ИС на базе ПЛИС отечественного производства
О 
 
Обратное проектирование СБИС для обеспечения безопасности аппаратуры
О математических моделях цифровых микроэлектронных систем и проверке последовательности выполняемых функций на этапе проектирования
Определение областей компетентности алгоритмов синтеза комбинационно-логических схем
Оптимальный алгоритм генерации полного теста для проверки простейших одиночных логико-динамических неисправностей для N-входового комбинационного устройства
Оптимизационные преобразования VHDL-моделей цифровых систем
Оптимизация двухуровневых логических схем с учетом энергопотребления
Оптимизация параметров микросхемы без изменения логического описания при технологических нормах 28нм
Организация самопроверяемых цифровых устройств по методу логического дополнения с применением кодов Хэмминга
Ортогонализация системы ДНФ булевых функций
О сложности инверсных графов, реализующих булевы функции от малого числа переменных
Особенности автоматизированного проектирования генераторов комбинаторного беспорядка
Особенности процессов обработки и передачи информации в вычислительных устройствах
Оценка энергопотребления КМОП-схем на базе VHDL-моделирования
Оценка энергопотребления комбинационных КМОП-схем на основе логического VHDL-моделирования
П 
 
ПЛИС-ориентированная библиотека логических функций
Параллельный алгоритм поиска критических путей и циклов в задаче статического временного анализа цифровых схем с последовательностной логикой
Перепроектирование ПЛИС на основе трансформации моделей
Подсистема САПР генерации функциональных блоков кодера/декодера сверточных турбокодов
Подсистема событийного анализа самосинхронных схем АСПЕКТ
Построение тестовых последовательностей для робастно тестируемых неисправностей задержек путей с низкой потребляемой мощностью с использованием SAT-решателей и ROBDD-графов
Применение кластеризации при логическом синтезе
Применение VHDL моделей неполностью определенных булевых функций при проектировании цифровых схем
Принципы построения систем логического моделирования с учетом дестабилизирующих факторов
Принципы построения специализированных вычислителей с применением модулярной арифметики
Принципы построения средств отладки рекуррентного вычислителя
Проектирование на программируемых логических интегральных схемах быстрых конечных автоматов
Проектирование регулярных схем с последовательными соединениями транзисторов
Р 
 
Разработка маршрута ресинтеза комбинационных логических схем с целью повышения маскирующих свойств
Разработка методов генетического синтеза сбоеустойчивых комбинационных схем
Разработка моделей специальных логических элементов для анализа быстродействия реконфигурируемых систем на кристалле
Распределенная система и алгоритмы поиска минимальных и близких к ним контактных схем для булевых функций от малого числа переменных
Расщепление внутренних состояний конечных автоматов для минимизации потребляемой мощности
С 
 
СиВер - система синтеза и верификации комбинационных логических схем
Синтез логических КМОП схем с пониженным энергопотреблением
Синтез преобразователей кодов, предназначенных для сокращения длины двоичных кодируемых слов
Система логической оптимизации функционально-структурных описаний цифровых устройств на основе продукционно-фреймовой модели представления знаний
Способ тестопригодного проектирования логических преобразователей
Средство автоматизированного тестирования вычислительного блока рекуррентного операционного устройства
Статистический анализ быстродействия с учетом реконвергенции проводящих путей и вариации фронтов
Статический временной анализ с обнаружением ложных проводящих путей на основе логических импликаций
Т 
 
Тестирование систем с параллелизмом поведения на основе сокращенного графа достижимых состояний
Трехуровневая минимизация логических функций с использованием графических ускорителей
У 
 
Ускорение направленного автоматического тестирования ПО в практике моделирования СБИС за счет сокращения обходов ветвей условных переходов
Ф 
 
Формирование высокоуровневых моделей цифровых ячеек с использованием веб-доступа
Формирование состава редуцированной библиотеки логических элементов для ПЛИС
Функциональный метод анализа самосинхронных схем любого размера
Х 
 
Характеризация библиотек цифровых схем с использованием веб-технологий
Характеризация псевдодинамических элементов
Э 
 
Экспериментальное исследование эффективности программ минимизации BDD-представлений систем булевых функций при синтезе комбинационных схем из библиотечных КМОП
Энергосберегающий синтез конечных автоматов на основе совмещенной структурной модели

Copyright © 2009-2024 ИППМ РАН. All Rights Reserved.

Разработка сайта - ИППМ РАН