Главная         Авторы   Статьи   Год проведения   Тематика   Организации        Конференция МЭС

Оценка энергопотребления комбинационных КМОП-схем на основе логического VHDL-моделирования  

Авторы
 Бибило П.Н.
 Авдеев Н.А.
 Кириенко Н.А.
Год публикации
 2022
DOI
 10.31114/2078-7707-2022-2-9-15
УДК
 681.32

Аннотация
 Оценка энергопотребления на основе VHDL-моделирования основана на использовании модифицированных VHDL-моделей элементов схемы. Модели учитывают не только функциональность, но и временные задержки элементов. Требуется также модифицировать нетлист схемы - добавить параметры, описывающие нагрузки каждого из элементов по выходам и входам. Нетлист схемы и соответствующий ей SDF-файл, описывающий задержки элементов схемы, получается в результате синтеза данной схемы в библиотеке КМОП элементов. Синтез выполняется в системе LeonardoSpectrum. VHDL-моделирование ведется в системе Questa Sim с использованием SDF-файла. Оценка энергопотребления выполняется отдельным процессом. Основное назначение процесса: по параметрам, значения которых для каждого компонента схемы при моделировании передаются из SDF-файла, определить предыдущую и новую комбинацию состояний входов элемента и по этим значениям и по значениям нагрузочных способностей подсчитать энергопотребление элемента в текущем такте моделирования схемы. Результатом VHDL-моделирования является последовательность значений энергопотребления схемы в каждом из тактов моделирования схемы и вычисленная оценка энергопотребления схемы на заданном тесте. В качестве тестов использованы псевдослучайные тестовые наборы с равновероятными значениями нулей и единиц. Эксперимент проведен на нескольких потоках логических схем. В результате экспериментов установлено, что VHDL-моделирование на несколько порядков сокращает время вычисления потребляемых токов и чаще всего приводит к получению верхних оценок потребляемых схемами токов, считая эталонными значениями, получаемые аналоговым Spice-моделированием в системе Accusim II (ф. Mentor Graphics).
Ключевые слова
 логическая схема, синтез, логическое и схемотехническое моделирование, оценки энергопотребления, VHDL, КМОП СБИС.
Ссылка на статью
 Бибило П.Н., Авдеев Н.А., Кириенко Н.А. Оценка энергопотребления комбинационных КМОП-схем на основе логического VHDL-моделирования // Проблемы разработки перспективных микро- и наноэлектронных систем (МЭС). 2022. Выпуск 2. С. 9-15. doi:10.31114/2078-7707-2022-2-9-15
Адрес статьи
 http://www.mes-conference.ru/data/year2022/pdf/D012.pdf

Copyright © 2009-2024 ИППМ РАН. All Rights Reserved.

Разработка сайта - ИППМ РАН