Главная         Авторы   Статьи   Год проведения   Тематика   Организации        Конференция МЭС

Синтез логических КМОП схем с пониженным энергопотреблением  

Авторы
 Бибило П.Н.
 Черемисинова Л.Д.
 Кардаш С.Н.
 Кириенко Н.А.
 Леончик П.В.
 Новиков Д.Я.
 Романов В.И.
 Черемисинов Д.И.
Год публикации
 2012
УДК
 681.325

Аннотация
 Описывается структура и функциональные возможности программного комплекса энергосберегающего логического синтеза (ЭЛС), предназначенного для автоматизации проектирования многоуровневых логических схем из библиотечных элементов заказных сверхбольших интегральных схем (СБИС), выполненных по КМОП технологии. В качестве входных языков описания функционирования проектируемых схем используются языки высокого уровня VHDL и SF. За критерии оптимизации при проектировании приняты сложность КМОП-микросхем и их энергопотребление.
Ключевые слова
 Автоматизация проектирования, заказные КМОП СБИС, синтез схем с пониженным энергопотреблением
Ссылка на статью
 Бибило П.Н., Черемисинова Л.Д., Кардаш С.Н., Кириенко Н.А., Леончик П.В., Новиков Д.Я., Романов В.И., Черемисинов Д.И. Синтез логических КМОП схем с пониженным энергопотреблением // Проблемы разработки перспективных микро- и наноэлектронных систем - 2012. Сборник трудов / под общ. ред. академика РАН А.Л. Стемпковского. М.: ИППМ РАН, 2012. С. 73-78.
Адрес статьи
 http://www.mes-conference.ru/data/year2012/pdf/D20.pdf

Copyright © 2009-2024 ИППМ РАН. All Rights Reserved.

Разработка сайта - ИППМ РАН