Главная         Авторы   Статьи   Год проведения   Тематика   Организации        Конференция МЭС

Методика ASMD-FSMD проектирования цифровых устройств  

Авторы
 Соловьев В.В.
Год публикации
 2021
DOI
 10.31114/2078-7707-2021-2-9-16
УДК
 621.3; 004.3

Аннотация
 Рассмотрена методика ASMD-FSMD проектирования цифровых устройств на основе конечных автоматов с трактом обработки данных (finite state machine with datapath – FSMD), когда функционирование устройства представляется в виде блок-схемы автомата с трактом обработки данных (algorithmic state machine with datapath – ASMD) и описывается на языке Verilog. При-ведено сравнение традиционного подхода и методики ASMD-FSMD при проектировании синхронных умножи-телей и процессоров PIC на программируемых логиче-ских интегральных схемах (ПЛИС – field programmable gate array – FPGA). Показано, что методика ASMD-FSMD позволяет в большинстве случаев уменьшить стоимость реализации (для отдельных примеров на 47%) и заметно увеличить быстродействие (для отдельных примеров в 2.96 раза), а также значительно сократить время проектирования (приблизительно в 5-7 раз). При-ведены рекомендации по использованию методики ASMD-FSMD и указаны возможные направления ее дальнейшего развития.
Ключевые слова
 методика проектирования цифровых устройств, конеч-ный автомат с трактом обработки данных, блок-схема автомата с трактом обработки данных, язык Verilog, программируемая логическая интегральная схема (ПЛИС).
Ссылка на статью
 Соловьев В.В. Методика ASMD-FSMD проектирования цифровых устройств // Проблемы разработки перспективных микро- и наноэлектронных систем (МЭС). 2021. Выпуск 2. С. 9-16. doi:10.31114/2078-7707-2021-2-9-16
Адрес статьи
 http://www.mes-conference.ru/data/year2021/pdf/D022.pdf

Copyright © 2009-2024 ИППМ РАН. All Rights Reserved.

Разработка сайта - ИППМ РАН